Bagaimana Memperbaiki Paket Verilog Tidak Berfungsi di Sublime Text?

Diterbitkan: 2025-11-03

Anda membuka file Verilog di Sublime Text , mengharapkan warna dan cuplikan sintaksis, tetapi semuanya tampak biasa saja atau paket menimbulkan kesalahan. Kadang-kadang, bahkan pembangunan gagal, atau Kontrol Paket tidak dapat menemukan Verilog sama sekali. Jangan khawatir, masalah ini umum terjadi dan mudah diperbaiki. Dalam panduan ini, Anda akan mempelajari apa yang menyebabkan paket Sublime Verilog berhenti bekerja dan cara sederhana untuk memulihkannya di sistem apa pun.

Apa Masalah Paket Sublime Verilog?

Apa Masalah Paket Sublime Verilog

Paket Verilog/SystemVerilog di Sublime Text menambahkan penyorotan sintaksis, cuplikan, dan perintah build opsional untuk file desain perangkat keras ( .v , .sv , .vh , .svh ). Ketika berhenti berfungsi, kode Anda mungkin muncul sebagai teks biasa, build mungkin gagal, atau Sublime menunjukkan kesalahan impor di konsol.

Masalah ini dapat terjadi segera setelah menginstal Sublime, memperbarui editor, atau mengubah rantai alat. Ini mempengaruhi semua platform, Windows, macOS, dan Linux, dan pengguna Verilog dan SystemVerilog.

Penyebab Umum Masalah Paket Sublime Verilog

Berikut adalah alasan paling umum mengapa paket Verilog rusak:

  • Paket tidak diinstal atau dinonaktifkan di Kontrol Paket Sublime.
  • Asosiasi file salah, Sublime memperlakukan .v atau .sv sebagai teks biasa.
  • Versi Sublime Text yang ketinggalan jaman tidak memiliki API Python 3.
  • PATH tidak disetel untuk alat seperti iverilog , vvp , atau verilator .
  • Konflik dengan sintaksis atau ekstensi LSP lainnya.
  • Cache rusak atau file indeks basi.
  • Proksi perusahaan atau firewall memblokir Kontrol Paket.

Bagaimana Memperbaiki Paket Verilog Tidak Berfungsi di Sublime Text?

Ikuti langkah-langkah berikut untuk menghidupkan kembali sintaksis dan pembangunan.

Perbaikan #1: Periksa Instalasi Kontrol Paket

Mengapa ditautkan: jika paket tidak diinstal atau diabaikan, Sublime tidak akan memuat sintaks atau cuplikannya.
Apa yang terjadi setelahnya: sintaks dan perintah untuk Verilog muncul lagi.

Coba ini:

  1. Buka Preferensi → Kontrol Paket → Instal Paket .
  2. Cari Verilog atau SystemVerilog dan instal.
  3. Buka Preferensi → Pengaturan → diabaikan_paket dan pastikan itu tidak tercantum di sana.

Perbaikan #2: Atur Sintaks yang Benar untuk Jenis File

Mengapa ditautkan: pemetaan sintaksis yang salah menyebabkan tampilan teks biasa.
Apa yang terjadi setelahnya: penyorotan sintaksis dan pemuatan cuplikan.

Pemeriksaan cepat:

  • Buka file .v atau .sv .
  • Buka Lihat → Sintaks → Verilog (atau SystemVerilog) .
  • Pilih Lihat → Sintaks → Buka semua dengan ekstensi saat ini sebagai
  • Dan pilih Verilog untuk menguncinya secara permanen.

Perbaikan #3: Perbarui Sublime Text ke Versi Terbaru

Mengapa ditautkan: versi lama (terutama ST3) tidak mendukung dependensi API Python 3.8+ baru yang digunakan oleh paket.
Setelah memperbarui: paket dimuat dengan bersih tanpa kesalahan impor konsol.

Tindakan: Buka Bantuan → Periksa Pembaruan , lalu mulai ulang Sublime.

Perbaikan #4: Konfigurasikan Sistem Build yang Berfungsi

Mengapa ditautkan: Sublime tidak dapat menemukan kompiler (seperti iverilog atau verilator) kecuali Anda mendefinisikan sistem build.
Setelah diperbaiki: menekan Ctrl+B atau Cmd+B akan menjalankan simulasi Anda.

Berikut langkah-langkah yang dapat Anda ikuti:

  1. Buka Alat → Bangun Sistem → Sistem Bangun Baru…
  2. Tempelkan sampel ini:
{
“cmd”: [“iverilog”, “$file”, “-o”, “${file_base_name}.out”],
“file_regex”: “^(..[^:]*):([0-9]+):?([0-9]+)?:? (.*)$”,
"pemilih": "sumber.verilog"
}
  1. Simpan sebagai Verilog.sublime-build dan pilih di Tools → Build System .

Perbaiki #5: Perbaiki PATH untuk Toolchain

Mengapa ditautkan: Sublime tidak dapat menemukan alat simulasi tanpa jalur lingkungan yang tepat.
Setelah diperbaiki: build dan run berhasil dijalankan.

Ikuti saja langkah-langkah ini:

  1. Tambahkan jalur ke biner iverilog, vvp, atau verilator ke variabel OS PATH Anda.
  2. Mulai ulang Teks Sublime.
  3. Uji dengan membuka konsol ( Ctrl+~ ) dan mengetik:

impor os; print(os.lingkungan["JALAN"])

Perbaikan #6: Hapus Cache dan Indeks Ulang Sublime

Mengapa ditautkan: cache yang rusak mencegah pemuatan sintaksis atau pencarian simbol.
Setelah diperbaiki: pengindeksan normal dan penyorotan kembali.

Tangga:

  1. Tutup Sublim.
  2. Hapus folder Cache dan Indeks dari direktori data Sublime Anda:
    • Windows: %APPDATA%\Sublime Text
    • macOS: ~/Library/Application Support/Sublime Text
    • Linux: ~/.config/sublime-text
  3. Mulai ulang Sublime dan buka kembali proyek Anda.

Perbaikan #7: Nonaktifkan Paket yang Bertentangan atau Pengaturan LSP

Mengapa ini ditautkan: Beberapa paket mengesampingkan sintaksis Verilog atau mengganggu mesin penyelesaian.
Setelah diperbaiki: penyorotan dan stabilisasi pelengkapan otomatis.

Uji Mode Aman:

  • Jalankan Command Palette → “Sublime Text: Safe Mode” .
  • Buka file Verilog.
    Jika berhasil, aktifkan kembali ekstensi Anda satu per satu hingga Anda menemukan ekstensi yang menyebabkan masalah.

Perbaikan #8: Atur Ulang Pengaturan Pengguna

Mengapa ditautkan: paket mungkin secara tidak sengaja terdaftar di bawah "ignored_packages" atau ada penggantian sintaksis yang rusak.
Setelah diperbaiki, Sublime memuat paket Verilog dengan benar.

Lakukan ini:

  1. Buka Preferensi → Pengaturan (Pengguna) .
  2. Hapus "Verilog" atau "SystemVerilog" dari diabaikan_paket.
  3. Simpan dan mulai ulang Sublime.

Perbaikan #9: Instal Ulang Paket dengan Bersih

Mengapa ditautkan: unduhan yang tidak lengkap atau kesalahan cache dapat merusak paket.
Setelah menginstal ulang, Anda mendapatkan salinan yang baru dan berfungsi.

Tangga:

  1. Kontrol Paket → Hapus Paket → Verilog.
  2. Tutup Sublim.
  3. Buka kembali dan buka Instal Paket → Verilog.
  4. Uji dengan modul kecil:
module test;
initial begin
$display("Hello Verilog!");
end
endmodule

Tips Pencegahan Agar Tidak Terjadi Kesalahan pada Sublime Text

Setelah diperbaiki, ikuti tip berikut untuk menjaga semuanya tetap stabil:

  • Selalu perbarui Sublime Text dan paket Verilog.
  • Tetap dengan satu paket Verilog/SystemVerilog.
  • Cadangkan file .sublime-build Anda yang berfungsi.
  • Hindari penggunaan tema khusus yang mengesampingkan cakupan sintaksis.
  • Hapus cache setelah pembaruan besar.
  • Jaga konsistensi PATH Anda di seluruh sesi.
  • Uji pengaturan baru dalam Mode Aman terlebih dahulu.

Kesimpulan

Ketika paket Sublime Verilog berhenti bekerja, hampir selalu terjadi masalah setup atau cache. Menginstal ulang, memperbaiki sintaks file, atau menyesuaikan PATH biasanya menyelesaikannya dengan cepat.

Mulailah dengan langkah sederhana: verifikasi instalasi, atur sintaks secara manual, dan bersihkan cache. Jika Anda masih mengalami masalah, coba Safe Mode atau instal ulang Sublime untuk memulai dengan bersih. Setelah diperbaiki, Anda akan mendapatkan sorotan penuh, cuplikan, dan alur pembangunan yang berfungsi untuk proyek Verilog Anda lagi.