Sublime Text'te Çalışmayan Verilog Paketi Nasıl Düzeltilir?
Yayınlanan: 2025-11-03Sublime Text'de bir Verilog dosyasını açarsınız, sözdizimi renklerini ve parçacıkları beklersiniz, ancak her şey düz görünür veya paket hatalar atar. Bazen derlemeler bile başarısız olur veya Paket Kontrolü Verilog'u hiç bulamaz. Endişelenmeyin, bu sorun yaygındır ve düzeltilmesi kolaydır. Bu kılavuzda Sublime Verilog paketinin çalışmayı durdurmasına neyin sebep olduğunu ve onu herhangi bir sisteme geri yüklemenin basit yollarını öğreneceksiniz.
Sublime Verilog Paketi Sorunu Nedir?

Sublime Text'deki Verilog/SystemVerilog paketi , donanım tasarım dosyaları ( .v , .sv , .vh , .svh ) için sözdizimi vurgulama, parçacıklar ve isteğe bağlı derleme komutları ekler. Çalışmayı bıraktığında kodunuz düz metin olarak görünebilir, derlemeler başarısız olabilir veya Sublime konsolda içe aktarma hataları gösterebilir.
Bu sorun Sublime'ı yükledikten, düzenleyiciyi güncelledikten veya araç zincirlerini değiştirdikten hemen sonra ortaya çıkabilir. Tüm platformları, Windows, macOS ve Linux'u ve hem Verilog hem de SystemVerilog kullanıcılarını etkiler.
Sublime Verilog Paketi Sorununun Yaygın Nedenleri
Verilog paketinin bozulmasının en sık görülen nedenleri şunlardır:
- Sublime'ın Paket Kontrolünde paket kurulmamış veya devre dışı bırakılmamış.
- Yanlış dosya ilişkilendirmesi; Sublime
.vveya.svdosyalarını düz metin olarak değerlendirir. - Eski Sublime Text sürümünde Python 3 API'leri eksik.
- PATH, iverilog , vvp veya verilator gibi araçlar için ayarlanmadı.
- Diğer sözdizimi veya LSP uzantılarıyla çakışmalar.
- Bozuk önbellek veya eski dizin dosyaları.
- Kurumsal proxy veya güvenlik duvarı Paket Denetimini engelliyor.
Sublime Text'te Çalışmayan Verilog Paketi Nasıl Düzeltilir?
Söz dizimini ve yapıları yeniden hayata döndürmek için bu adımları izleyin.
Düzeltme #1: Paket Kontrolü Kurulumunu Kontrol Edin
Neden bağlantılı: Paket kurulu değilse veya yoksayılırsa Sublime sözdizimini veya parçacıklarını yüklemez.
Sonra ne olur: Verilog'un sözdizimi ve komutları yeniden görünür.
Bunu deneyin:
- Tercihler → Paket Kontrolü → Paketi Yükle seçeneğine gidin.
- Verilog veya SystemVerilog'u arayın ve yükleyin.
- Tercihler → Ayarlar → göz ardı edilen_paketleri açın ve burada listelenmediğinden emin olun.
Düzeltme #2: Dosya Türleri için Doğru Söz Dizimini Ayarlama
Neden bağlantılı: Yanlış sözdizimi eşlemesi düz metin görüntüsüne neden oluyor.
Sonra ne olur: sözdizimi vurgulama ve parçacıkların yüklenmesi.
Hızlı kontrol:
- Bir
.vveya.svdosyasını açın. - Görünüm → Sözdizimi → Verilog (veya SystemVerilog) seçeneğine gidin.
- Görünüm → Sözdizimi → Tümünü geçerli uzantıyla aç'ı seçin.
- Kalıcı olarak kilitlemek için Verilog'u seçin.
Düzeltme #3: Sublime Text'i En Son Sürüme Güncelleyin
Neden bağlantılı: eski yapılar (özellikle ST3), paket tarafından kullanılan yeni Python 3.8+ API bağımlılıklarını desteklemez.
Güncellemeden sonra: paketler, konsol içe aktarma hataları olmadan temiz bir şekilde yükleniyor.
Eylem: Yardım → Güncellemeleri Denetle seçeneğine gidin, ardından Sublime'ı yeniden başlatın.
Düzeltme #4: Çalışan Bir Yapı Sistemi Yapılandırma
Neden bağlantılı: Sublime, siz bir yapı sistemi tanımlamadığınız sürece derleyiciyi (iverilog veya verilator gibi) bulamaz.
Düzeltmeden sonra: Ctrl+B veya Cmd+B tuşlarına basmak simülasyonunuzu çalıştırır.
İzleyebileceğiniz adımlar şunlardır:
- Araçlar → Yapı Sistemi → Yeni Yapı Sistemi'ne gidin…
- Bu örneği yapıştırın:
{
“cmd”: [“iverilog”, “$dosya”, “-o”, “${dosya_base_adı}.out”],
“file_regex”: “^(..[^:]*):([0-9]+):?([0-9]+)?:? (.*)$”,
“seçici”: “source.verilog”
}
- Verilog.sublime-build olarak kaydedin ve Araçlar → Sistem Oluştur bölümünde seçin.
Düzeltme #5: Alet Zincirleri için PATH'i Düzeltme
Neden bağlantılı: Sublime, uygun ortam yolları olmadan simülasyon araçlarını bulamaz.
Düzeltmeden sonra: derlemeler ve çalıştırmalar başarıyla yürütülür.

Basitçe şu adımları izleyin:
- Iverilog, vvp veya verilator ikili dosyalarının yolunu OS PATH değişkeninize ekleyin.
- Sublime Text'i yeniden başlatın.
- Konsolu açıp (
Ctrl+~) ve şunu yazarak test edin:
işletim sistemini içe aktar; print(os.environ[“YOL”])
Düzeltme #6: Önbelleği Temizle ve Sublime'ı Yeniden Dizinle
Neden bağlantılı: bozuk önbellek, sözdiziminin yüklenmesini veya sembol aramasını engelliyor.
Sabitledikten sonra: normal indeksleme ve vurgulama dönüşü.
Adımlar:
- Sublime'ı kapatın.
- Sublime veri dizininizden Önbellek ve Dizin klasörlerini silin:
- Windows:
%APPDATA%\Sublime Text - macOS:
~/Library/Application Support/Sublime Text - Linux:
~/.config/sublime-text
- Windows:
- Sublime'ı yeniden başlatın ve projenizi yeniden açın.
Düzeltme #7: Çakışan Paketleri veya LSP Ayarlarını Devre Dışı Bırakma
Neden bağlantılı: Bazı paketler Verilog sözdizimini geçersiz kılar veya tamamlama motorlarına müdahale eder.
Sabitlemeden sonra: vurgulama ve otomatik tamamlama stabilizasyonu.
Güvenli Modu Test Edin:
- Komut Paletini Çalıştır → “Yüce Metin: Güvenli Mod” .
- Bir Verilog dosyasını açın.
Çalışıyorsa, soruna neden olanı bulana kadar uzantılarınızı tek tek yeniden etkinleştirin.
Düzeltme #8: Kullanıcı Ayarlarını Sıfırla
Neden bağlantılı: Paket yanlışlıkla "ignored_packages" altında listelenmiş olabilir veya bozuk sözdizimi geçersiz kılmaları mevcut olabilir.
Düzeltmeden sonra Sublime, Verilog paketini düzgün bir şekilde yükler.
Bunu yapın:
- Tercihler → Ayarlar (Kullanıcı) öğesini açın.
-
"Verilog"veya"SystemVerilog"görmezden gelinen_paketlerden kaldırın. - Sublime'ı kaydedin ve yeniden başlatın.
Düzeltme #9: Paketi Temiz Bir Şekilde Yeniden Yükleyin
Neden bağlantılı: Eksik indirmeler veya önbellek hataları paketi bozabilir.
Yeniden yükledikten sonra yeni, çalışan bir kopya alırsınız.
Adımlar:
- Paket Kontrolü → Paketi Kaldır → Verilog.
- Sublime'ı kapatın.
- Yeniden açın ve Paketi Kur → Verilog'a gidin.
- Küçük bir modülle test edin:
module test;
initial begin
$display("Hello Verilog!");
end
endmodule
Yüce Metinde Hataları Önlemek için Önleme İpuçları
Düzeltildikten sonra her şeyin sabit kalmasını sağlamak için şu ipuçlarını izleyin:
- Sublime Text'i ve Verilog paketini güncel tutun.
- Tek bir Verilog/SystemVerilog paketine bağlı kalın.
- Çalışan .sublime-build dosyanızı yedekleyin.
- Sözdizimi kapsamlarını geçersiz kılan özel temalar kullanmaktan kaçının.
- Büyük güncellemelerden sonra önbelleği temizleyin.
- PATH'inizin oturumlar arasında tutarlı olmasını sağlayın.
- Yeni kurulumları önce Güvenli Modda test edin.
Çözüm
Sublime Verilog paketi çalışmayı durdurduğunda, bu neredeyse her zaman bir kurulum veya önbellek sorunudur. Dosya sözdizimini yeniden yüklemek, düzeltmek veya PATH'yi ayarlamak genellikle sorunu hızlı bir şekilde çözer.
Basit adımlarla başlayın: Kurulumu doğrulayın, sözdizimini manuel olarak ayarlayın ve önbelleği temizleyin. Hâlâ sorun yaşıyorsanız Güvenli Modu deneyin veya temiz bir başlangıç için Sublime'ı yeniden yükleyin. Düzeltildikten sonra Verilog projeleriniz için yeniden tam vurgulama, parçacıklar ve çalışan bir derleme akışı elde edeceksiniz.
